1

CONTENTS

1 )Introduction to Lithography

2)Types of Lithography in Nanotechnology

3)Photolithography

4)Electron beam lithography

5)X ray lithography

6)Phase shifting lithography

7)Focused Ion beam lithography

8)Neutral ion beam lithography.

9)Conclusion

10)Bibliography

What is Lithography?

Lithography is often referred to as photo-engraving, and is the processof transferring a pattern into a reactive polymer film, termed as resist. This will subsequently be used to replicate that pattern into an underlying thin film.Many techniques of lithography have beendeveloped in the last half a century.

These processes use various lens systems and exposureradiation sources including photons, X-rays, electrons, ions and neutralatoms. In spite of different exposure radiation sources used in variouslithographic methods and instrumental details, they all share the samegeneral technical approaches and are based on similar fundamentals.Photolithography is the most widely used technique in microelectronic fabrication, particularly for mass production of integrated circuit.

As Compared to the general chemicalfabrication and processing methods, physical fabrication techniques for producing nanostructures are derived mainly from the techniques applied forthe fabrication of microstructures in semiconductor industry. Particularly the fundamentals and basic approaches are mostly based on micro fabrications.

The following techniques for the fabrication of nanostructuresand nanopatterns are used in the industry.

(1)Lithographic techniques

(a) Photolithography

(b) Phase shifting optical lithography

(c) Electron beam lithography

(d) X-ray lithography

(e) Focused ion beam lithography

(f)Neutral atomic beam lithography

(2)Nanomanipulation and nanolithography

(a) Scanning tunneling microscopy (STM)

(b) Atomic force microscopy (AFM)

(c) Near-field scanning optical microscopy (NSOM)

(d) Nan manipulation

(e) Nanolithography

(3)Soft lithography

(a) Micro contact printing

(b) Molding

(c) Nanoimprint

(d) Dip-pen nanolithography

(4) Self-assembly of nanoparticles or nanowires

(a) Capillary force induced assembly

(b) Dispersion interaction assisted assembly

(c) Shear force assisted assembly

(d) Electric-field assisted assembly

(e) Covalently linked assembly

(f) Gravitational field assisted assembly

(g) Template assisted assembly

(5) Other methods for micro fabrication

(a) LIGA

(b) Laser direct writing

(c) Excimer laser micromachining

We will consider the Lithographic techniques, starting with Photolithography in the following pages.

Photolithography

The wafer track portion of an aligner that uses 365 nm ultraviolet light.

Typical photolithographic process consists of producing a mask carrying the requisite pattern information and subsequently transferring these patterns, using some optical technique into a photoactive polymer or photo resist (or simply resist). There are two basic photolithographicapproaches:

  1. shadow printing, which can be further divided into contactprinting (or contact-mode printing) and proximity printing, and
  1. projectionprinting. The terms “printing” and “photolithography” are used interchangeably in the literature.

Photolithography consists of the following basic steps :

Cleaning

Preparation

Photoresist application

Exposure and developing

Etching

Photoresist removal

The figure below outlines the basic steps of the photolithographic process.

1) Cleaning

If organic or inorganic contaminations are present on the wafer surface, they are usually removed by wet chemical treatment, e.g. the RCA clean procedure based on solutions containing hydrogen peroxide.

2) Preparation

The wafer is initially heated to a temperature sufficient to drive off any moisture that may be present on the wafer surface. Wafers that have been in storage must be chemically cleaned to remove contamination. The phrase "adhesion promoter" is a misnomer, as the surface layer of silicon dioxide on the wafer reacts with the agent to form Methylated Silicon-hydroxide, a highly water repellent layer not unlike the layer of wax on a car's paint. This water repellent layer prevents the aqueous developer from penetrating between the photoresist layer and the wafer's surface, thus preventing so-called lifting of small photoresist structures in the (developing) pattern.

3) Photoresist application

The wafer is covered with photo resist by spin coating. A viscous, liquid solution of photo resist is dispensed onto the wafer, and the wafer is spun rapidly to produce a uniformly thick layer. The spin coating typically runs at 1200 to 4800 rpm for 30 to 60 seconds, and produces a layer between 0.5 and 2.5 micrometres thick. The spin coating process results in a uniform thin layer, usually with uniformity of within 5 to 10 nanometres. This uniformity can be explained by detailed fluid-mechanical modelling, which shows that the resist moves much faster at the top of the layer than at the bottom, where viscous forces bind the resist to the wafer surface. Thus, the top layer of resist is quickly ejected from the wafer's edge while the bottom layer still creeps slowly radially along the wafer. In this way, any 'bump' or 'ridge' of resist is removed, leaving a very flat layer. Final thickness is also determined by the evaporation of liquid solvents from the resist. For very small, dense features (<125 or so nm), thinner resist thicknesses (<0.5 micrometres) are needed to overcome collapse effects at high aspect ratios; typical aspect ratios are <4:1.

The photo resist-coated wafer is then prebaked to drive off excess photoresist solvent, typically at 90 to 100 °C for 0 to 60 seconds on a hotplate.

4) Exposure and developing

After prebaking, the photoresist is exposed to a pattern of intense light. Optical lithography typically uses ultraviolet light (see below). Positive photoresist, the most common type, becomes soluble in the basic developer when exposed; exposed negative photoresist becomes insoluble in the (organic) developer. This chemical change allows some of the photoresist to be removed by a special solution, called "developer" by analogy with photographic developer. To learn more about the process of exposure and development of positive resist, A PEB (post-exposure bake) is performed before developing, typically to help reduce standing wave phenomena caused by the destructive and constructive interference patterns of the incident light. In DUV (deep ultraviolet, or 248nm exposure wavelength) lithography, CAR (chemically amplified resist) chemistry is used. This process is much more sensitive to PEB time, temperature, and delay, as most of the "exposure" reaction (creating acid, making the polymer soluble in the basic developer) actually occurs in the PEB

Diffraction sets the limit of the maximum resolution or the minimum size of the individual elements by photolithography, which can be obtained. It refers to the apparent deviation of light from rectilinear propagation as it passes an obstacle such as an opaque edge and the phenomenon of diffraction can be understood qualitatively as follows. According to geometrical optics, if an opaque object is placed between a point light source and a screen, the edge of the object will cast a sharp shadow on the screen. No light will reach the screen at points within the geometrical shadow, whereas outside the shadow the screen will be uniformly illuminated.

In reality, the shadow cast by the edge is diffuse, consisting of alternatebright and dark bands that extend into the geometrical shadow. This apparent bending of light around the edge is referred to as diffraction, and the resulting intensity distribution is called a diffraction pattern. Obviously diffraction causes the image of a perfectly delineated edge tobecome blurred or diffused at the resist surface. The theoretical resolution capability of shadow photolithography with a mask consisting of equal lines and spaces of width b is given by:

where 2b is the grating period (1/2b is the fundamental spatial frequency

v), s the gap width maintained between the mask and the photoresist surface,

A the wavelength of the exposing radiation and d the photoresist thickness. For hard contact printing, s is equal to 0, and from the equation, the maximum resolution for 400nm wavelength light and a 1 µm thick resist film will be slightly less than 1 µm.

The develop chemistry is delivered on a spinner, much like photoresist. Developers originally often contained sodium hydroxide (NaOH). However, sodium is considered an extremely undesirable contaminant in MOSFET fabrication because it degrades the insulating properties of gate oxides (specifically, sodium ions can migrate in and out of the gate, changing the threshold voltage of the transistor and making it harder or easier to turn the transistor on over time). Metal-ion-free developers such as tetramethylammonium hydroxide (TMAH) are now used.

The resulting wafer is then "hard-baked" if a non-chemically amplified resist was used, typically at 120 to 180 °C[citation needed] for 20 to 30 minutes. The hard bake solidifies the remaining photoresist, to make a more durable protecting layer in future ion implantation, wet chemical etching, or plasma etching.

5) Etching

In etching, a liquid ("wet") or plasma ("dry") chemical agent removes the uppermost layer of the substrate in the areas that are not protected by photoresist. In semiconductor fabrication, dry etching techniques are generally used, as they can be made anisotropic, in order to avoid significant undercutting of the photoresist pattern. This is essential when the width of the features to be defined is similar to or less than the thickness of the material being etched (i.e. when the aspect ratio approaches unity). Wet etch processes are generally isotropic in nature, which is often indispensable for microelectromechanical systems, where suspended structures must be "released" from the underlying layer.

The development of low-defectivity anisotropic dry-etch process has enabled the ever-smaller features defined photolithographically in the resist to be transferred to the substrate material.

6) Photoresist removal

After a photoresist is no longer needed, it must be removed from the substrate. This usually requires a liquid "resist stripper", which chemically alters the resist so that it no longer adheres to the substrate. Alternatively, photoresist may be removed by a plasma containing oxygen, which oxidizes it. This process is called ashing, and resembles dry etching.

Phase-shifting photolithography

Phase-shifting photolithography was first developed by Levenson et al.In this method, a transparent mask induces abrupt changes of the phaseof the light used for exposure, and cause optical attenuation at desired locations.These phase masks, also known as phase shifters, have produced features of 100nm in photo resist.

Figure below schematically illustrates the principles of phase-shifting lithography.

A clear film, i.e. a phase shifteror a phase mask, whose thickness is α/2 (n- 1),is placed on a photoresistwith conformal contact, the phase angle of the exposure light passingthrough the film shifts by the amount of T to the incident light arriving atthe surface of the photoresist. Here A is the wavelength of the exposurelight and n is the index of refraction of the phase mask. Because the lightphase angle between the phase shifter and the photoresist is inverted, theelectric field at the phase shifter edge is 0. So the intensity of the exposurelight at the surface of the photoresist would be zero. Animage having zerointensity can be formed about the edge of the phase shifter. Phase maskscan be used in both projection and contact-mode photolithographic techniques.

For a phase-shifting contact-mode photolithography, there are twopossible approaches to increase the resolution: (i) reducing the wavelengthof the source of exposure light and (ii) increasing the index of refraction of the photoresist. The achievable photolithographic resolution is roughly of-A/4n, where A is the wavelength of the exposure light and n is the refractiveindex of the photoresist. Although contact-mode photolithographywith a phase-shifting mask has a higher resolution, conformal contactbetween the phase-shifting mask and the photoresist on wafer is difficult toachieve, due to the presence of dust, non-uniformities in the thickness of

the photoresist, and bowing of the mask or the substrate. However, by introducingelastomeric phase-shifting masks, conformal contact can be relativelyeasily achieved and feature lines as narrow as 50nm have beengenerated. The resolution achieved corresponds approximately to A/5.An improved approach to conformal near field photolithography is to use

masks constructed from ‘‘soft” organic elastomeric polymer.

Figure below shows a pattern created using such a contact-mode phase-shifting photolithographic process.

Figure : Parallel lines formed in photoresist using near field contact-mode photolithography have widths on the order of 100 nm and are -300 nm in height as imaged by (A) AFM and (B) SEM

Electron beam lithography

The most commonly used form of maskless lithography today is electron beam lithography. Its widespread use is due to the wide range of electron beam systems available accessing an equally wide range of electron beam energies (~10 eV to ~100 keV). This is already being used in wafer-level production at eASIC, which uses conventional direct-write electron beam lithography to customize a single via layer for low-cost production of ASICs.

A finely focused beam of electrons can be deflected accurately and preciselyover a surface. When the surface is coated with a radiation sensitive polymericmaterial, the electron beam can be used to write patterns of very high resolution.

The first experimental electron beam writing systems weredesigned to take advantage of the high resolution capabilities in the sixties. Electron beams can be focused to a few nanometers in diameter andrapidly deflected either electromagnetically or electrostatic ally. Electronspossess both particle and wave properties; however, their wavelength is onthe order of a few tenths of angstrom, and therefore their resolution is notlimited by diffraction considerations. Resolution of electron beam lithographyis, however, limited by forward scattering of the electrons in the resistlayer and back scattering from the underlying substrate. Nevertheless, electronbeam lithography is the most powerful tool for the fabrication of feathers

as small as 3-5 n m .

When an electron beam enters a polymer film or any solid material, it

loses energy via elastic and inelastic collisions known collectively as electronscattering. Elastic collisions result only in a change of direction of theelectrons, whereas inelastic collisions lead to energy loss. These scatteringprocesses lead to a broadening of the beam, i.e. the electrons spreadout as they penetrate the solid producing a transverse or lateral electronflux normal to the incident beam direction, and cause exposure of theresist at points remote from the point of initial electron incidence, whichin turn results in developed resist images wider than expected. The magnitudeof electron scattering depends on the atomic number and density ofboth the resist and substrate as well as the velocity of the electrons or theaccelerating voltage.Exposure of the resist by the forward and backscattered electronsdepends on the beam energy, film thickness and substrate atomic number.

As the beam energy increases, the energy loss per unit path length and scatteringcross-sections decreases. Thus the lateral transport of the forwardscattered electrons and the energy dissipated per electron decrease whilethe lateral extent of the backscattered electrons increases due to theincreased electron range.Asthe resist film thickness increases, the cumulativeeffect of the small angle collisions by the forward scattered electronsincreases. Thus the area exposed by the scattered electrons at the resist substrateinterface is larger in thick films than in thin films. Proper exposurerequires that the electron range in the polymer film be greater than thefilm thickness in order to ensure exposure of the resist at the interface. As the substrate atomic number increases, the electron reflection coefficientincreases which in turn increases the backscattered contribution.

Electron beam systems can be conveniently considered in two broadcategories: those using scanned, focused electron beams which expose thewafer in serial fashion, and those projecting an entire pattern simultaneouslyonto a wafer. Scanning beam systems can be further divided intoGausian or round beam systems and shaped beam systems.

All scanningbeam systems have four typical subsystems:

(i) electron source (gun),

(ii) electron column (beam forming system),

(iii) mechanical stage and

(iv) control computer which is used to control the various machine subsystems

and transfer pattern data to the beam deflection systems.

Electron sources applicable to electron beam lithography are the sameas those used in conventional electron microscopes. These sources can bedivided into two groups: thermionic and field emission. Thermionic gunsrely on the emission of electrons from a material that is heated above acritical temperature beyond which electrons are emitted from the surface.

These sources are prepared from materials such as tungsten, thoriatedtungsten, or lanthanum hexaboride. Field emission sources use a highelectric field surrounding a very sharp point of tungsten. The electric fieldextracts electrons at the tip of the source, forming a Gaussian spot of onlya few tens of angstroms in diameter.

It is impossible to deflect an electron beam to cover a large area, in atypical electron beam lithography system, mechanical stages are requiredto move the substrate through the deflection field of the electron beamcolumn. Stages can be operated in a stepping mode in which the stage isstopped, an area of the pattern written and then the stage moved to a new

location where an adjacent pattern area is exposed. Alternatively, stagescan be operated in a continuous mode where the pattern is written on thesubstrate while the stage is moving.

Figure below shows SEM images of a40 nm pitch pillar grating after nickel lift-off when developing with ultrasonic

Figure:SEM images of a 40 nm pitch pillar grating after nickel lift-off when developing with ultrasonic agitation.

The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of maskless lithography has found wide usage in photomask-making used in photolithography, low-volume production of semiconductor components, and research & development.

Limitations of electron beam lithography

1)Throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.