1

16th BiennialUniversity Government IndustryMicroelectronics Symposium, San Jose State University, CA



25 Years of Microelectronic Engineering Education

Santosh K. Kurinec, Lynn F. Fuller, Bruce W. Smith, Richard L. Lane, Karl D. Hirschman, Michael A. Jackson, Robert E. Pearson, Dale E. Ewbank, Sean L. Rommel, Sara Widlund, Joan Tierney, Maria Wiegand, Maureen Arquette, Charles Gruener and Scott P. Blondell

Department of MicroelectronicEngineering

Rochester Institute of Technology

82 Lomb Memorial Drive, Rochester, NY14623-5604

Abstract— Rochester Institute of Technology started the nation’s first Bachelor of Science program in Microelectronic Engineering in 1982. The program has kept pace with the rapid advancements in semiconductor technology, sharing 25 of the 40 years characterized by Moore’s Law. The program has constantly advanced its integrated circuit fabrication laboratory in order to graduate students with state-of-the-art knowledge, who become immediate and efficient contributors to their company or graduate program. Today, this facility serves as a key resource for research in semiconductor devices, processes, MEMS, nanotechnology, and microsystems. This has led to the creation of the first PhD program in engineering at RIT, a doctorate in Microsystems Engineering. The department enjoys strong support from the semiconductor industry through its industrial affiliate program. Recently thedepartment received a $1million department level reform grant to address the imminent need for a highly educated workforce for the US high tech industry that is on the verge of nanotechnology revolution.

I.INTRODUCTION

T

HE semiconductor industry, with the invention of the transistor in 1947 at ATT Bell Labs, and the debut of the integrated circuit (IC) at the beginning of the 1960s, was born as a promising and soon to be a formidable industry. From this modest beginning in which ICs were used in only a limited number of specialized applications, has grown a technology that is pervasive in today’s world. The introduction of the personal computer (PC) by IBM in 1980 made semiconductor microchips a household term. This large-scale integration has continued over the decades due to innovations, process advancements in manufacturing, and rapid implementation into new applications.

The semiconductor industry consists of many groups of companies and institutions, all of which contribute to its vitality. At the center are the chip-manufacturers; but they are supported by a large number of outside organizations including manufacturers of chip-processing and metrology-tools, suppliers of materials and chemicals, analytical-laboratories, industry-associations that provide manufacturing standards and organize co-operative research efforts, and colleges and universities that provide technically trained workers.

Semiconductors are dominated by silicon electronics, and about eighty percent of that is complementary metal oxide semiconductor (CMOS) technology.

Microelectronics fabrication today probably employs the most highly trained engineering workforce of any manufacturing industry. As the density of integrated circuits rises (and therefore device feature size decreases) and as industry shifts to large wafer sizes, the complexity of microelectronic fabrication processes creates a demand for an ever more highly educated and trained workforce.

According to the Semiconductor Industry Association (SIA), the US semiconductor industry employs ~ 225,000 trained workers worldwide at present times. A $226B world wide semiconductor market forecasted to grow to $309B by 2008, an 11% Compound Annual Growth Rate (CAGR) [1]. With US to keep the dominant market share and maintain the innovation edge, it is imperative to invest in education of highly skilled workforce. The educational programs in Microelectronic Engineering at Rochester Institute of Technology have been designed to meet this critical need. This paper describes a quarter century of commitment, results, and challenges in sustaining this program at RIT.

II.Educational Programs

A.The BS Program

The Bachelor of Science program in Microelectronic Engineering at RIT started in 1982 after a study revealed a critical national need for engineers suitably qualified to drive the PC revolution that had just begun [2]. The lithography lab at that time consisted of a house hold blender for photoresist spin coating on 2 inch wafers and Rubylith for masks. Presently, it has automated resist coaters, ASML deep UV and Canon I-line wafer steppers, and Perkin Elmer MEBES III electron beam mask writer. Today the program supports a complete 4 and 6 inch CMOS line equipped with diffusion, ion implantation, plasma and CVD processes, chemical mechanical planarization (CMP), electron microscopes and device design, modeling and test laboratories. With the successive advancement of the semiconductor industry, the program has evolved to meet the changes and challenges of the industry. The Microelectronic Engineering program at RIT remains the first ABET accredited Bachelor of Science level program granting a degree in Microelectronic Engineering. The laboratories at RIT include the largest university clean room for integrated circuit fabrication in the United States (world). The program has gone through several curriculum changes in response to the technological developments of the industry.

The current five-year BS program consists of 196 quarter credit hour coursework and 15 months of mandatory co-op experience integrated throughout the final three years. The program combines an essential electrical engineering curriculum with optics, lithography, semiconductor processing, and manufacturing. Students are given ‘cleanroom’ experience right from the first quarter they join the program. The program received full accreditation in 2004 under the new ABET Engineering Criterion EC 2000.

B.Affiliate Program

In 1986, a 56,000 sq. ft square feet building was constructed with the support from US government, RIT and donations from industrials affiliates. The industrial affiliates allowed us to create a $1m endowment for building/facility maintenance and $1m endowment from Perkin Elmer for MEBES III mask making facility operation. Additionally, the affiliates continue to provide vital input to the curriculum and hire co-op and full time graduates [3]. Figure 1 shows the increase in affiliate membership of the program over the last 24 years.

Fig.1. Affiliate Membership of the Microelectronic EngineeringProgram.

Three professorships have been funded that provide support for the program – Motorola Professorship, Intel Professorship and most recently, Micron Professorship.

C.Graduate Programs

Success of the BS program and establishment of a well developed cleanroom facility led to the graduate programs – Master of Engineering in Microelectronics Manufacturing Engineering in 1987 and Master of Science in Microelectronic Engineering in 1995. A unique educational and research program that leads to a Ph.D. in Microsystems Engineering was instituted in 2002.

1)ME Program

The Master of Engineering degree is awarded upon successful completion of an approved graduate program consisting of a minimum of 45 credit hours [4]. The program consists of one transition course, seven core courses, two elective courses and a minimum of 5 credits of internship (professional work experience in the semiconductor industry). Under certain circumstances, a student may be required to complete more than the minimum number of credits. The transition course is in an area other than that in which the BS degree was earned. The core courses are microelectronics (processing) I, II, and III, microelectronics (manufacturing) I, II, and microlithography materials and processes and microlithography systems. The two elective courses are graduate-level courses in microelectronics or a related field. Elective courses may be selected from a list that includes courses such as metrology and failure analysis, semiconductor process and device modeling, and nanoscale CMOS. The courses delivered on campus have strong laboratory components. The laboratories teach basic principles involved in each of the core courses and most of the elective courses.

2)Online ME Program

The ME program also became available in 1998 entirely via distance delivery[5]. As our targeted online audience comes from the semiconductor industry, almost all students have access to the cleanroom fabrication/manufacturing environment in their respective companies. They primarily need fundamental understanding of the principles involved in engineering and do not need the base level laboratory instruction. The laboratory component is substituted with a self study paper or a research report under the faculty guidance. Distance learning courses at RIT have continuously evolved as new techniques and tools became available. For example, our new learning management system, Desire2learnallows our faculty to explore variations in online exams as alternatives to proctored exams, streamlines how assignments are managed through drop boxes, provides timely feedback through grade books, and facilitates good online discussion in small groups and team projects. We have also seen continuous improvement in our approach to course media. In the early 1990’s we recorded lectures in front of a live classroom and delivered them in subsequent quarters via VHS videotapes to distance learning students. Our distance learning students would typically receive 20 hours or more of VHS lectures along with the textbooks ordered from our bookstore. Since then, our course lecture material has moved onto CD’s and now most of that is also available online through streaming media, offering students an even greater degree of flexibility. And now Breeze Presenter enables faculty to produce their own high-quality voice annotated lecture material from PowerPoint directly on their own personal computer and publish it to the Breeze server where students can access it immediately. Instructors are no longer tied to a videotaped classroom or studio where materials have to be created weeks and months in advance of a course offering. The improvements in our course management tools have increased faculty ability to interact with students, and now with Breeze Presenter our faculty can create up to date content appropriate for the current course offering. In the year 2001, RIT produced its first graduate of the online Master of Engineering in Microelectronics Manufacturing Engineering. The total number of graduates is 12 by the year 2006.

3)MS Program

The Master of Science program started in 1995. The objective of the Master of Science program is to provide an opportunity for students to perform a master’s level research as they prepare for entry into the semiconductor industry or a Ph.D. program. The program requires strong preparation in the area of microelectronics takes two years to complete and requires a thesis. Table I lists the placement of our MS graduates.

TABLE I

Placement of MS Students

Year / Graduates / Placement
1995
1997
1998
1999
2002
2003
2004
2005
2006 / 1
1
2
1
3
3
2
5
4 / Motorola
Motorola
Intel
Motorola, CIDTEC
IBM, Kodak, PhD(RIT)
IBM, Kodak, PhD
IBM, PhD(RIT)
Cypress, National Semiconductors. Freescale, RF
Micro Devices, IBM
IBM, Micron, PhD (UC Berkeley), PhD (Delft)

4)BS-MS Program

A modern solid-state device is an ensemble of a variety of materials that include semiconductors, dielectrics, conductors, and polymers manufactured using advanced processes and miniaturized with extreme precision. The technology roadmap projects a critical need for the development of new materials. A combined Bachelor of Science in Microelectronic Engineering / Master of Science in Materials Science and Engineering program wasapproved and implemented in the year 2003-4. It is interdisciplinary between two colleges (College of Engineering and College of Science). This five-year program consists of completion of 225 credits that include a minimum of 36 graduate credits. It substitutes a co-op quarter by graduate thesis work. Students with interest in materials science aspect of microelectronics find this program very attractive. Table II lists thesis topics and the placement of our first and recent graduates of this program.

TABLE II

Thesis Topics and Placement of BS-MS STUDENTS

Year / Thesis Topic / Placement
2005
2006 / Al alloy films for microreflective applications
Development of NiSi process
Self aligned metal gate structures
Low temperature dopant activation
Hafnium oxide gate dielectric / Texas Instruments
IBM
AMD
IBM
IBM

5) Minor in Semiconductor Processing

We have developed a five course minor in Semiconductor processing for students of other science and engineering disciplines who desire exposure and experience to the exciting world of nanotechnology. We believe that this minor may do more to increase the number of women students with engineering experience at RIT utilizing the large number already enrolled in the College of Science programs, as opposed to separate recruitment strategies geared solely toward engineering. This program is designed to provide basic knowledge to students from other engineering and science disciplines interested in a career in the semiconductor industry that include design, manufacture, equipment, chemicals, and software sectors. The minor consists of five courses: three core and two electives as given in Table III.

TABLE III

Semiconductor Processing Minor Curriculum

Level / Courses
Freshmen Level
Sophomore Level
Senior Level
Two Electives / Intro to Microlithography
IC Technology
Thin Film Processes
Process Integration
CMOS Processing Lab
Microlithography Materials & Processes
Microlithographic Systems
Process and Device Modeling
Nanoscale CMOS
Microelectronics Manufacturing
Microelectromechanical Systems

The prerequisites for each of these courses are basic university level math, physics and one course in chemistry. The courses are multidisciplinary in content so there is an enormous knowledge value for students of every science/engineering program.

These five courses will equip students from other disciplines to work in the semiconductor industry or go to graduate programs in emerging fields of MEMS, nanotechnology. For instance- electrical engineering students with fabrication and processing knowledge will be better circuit designers, understand the tools and relationships between electrical data and process conditions. Computer engineers will be better chip designers. Mechanical engineers, largely employed by the equipment industry and packaging industry will be at an advantage by knowing the processes involved. Similarly, chemistry students will find better job opportunities with chemical industries that support semiconductor fabs. The industrial engineers will be exposedto the fab layout, wafer flow, lot tracking and other manufacturing issues. Physics/materials science majors will be the top choice for operating and interpreting electron microscopy, surface analysis, Raman and other spectroscopic techniques.

Fig. 2 and Table IV summarize curriculum development and technological achievements in microelectronic engineering at RIT over the last 25 years.

1

16th BiennialUniversity Government IndustryMicroelectronics Symposium, San Jose State University, CA

1

16th BiennialUniversity Government IndustryMicroelectronics Symposium, San Jose State University, CA

6) PhD Program in Microsystems Engineering

This multi-disciplinary program builds on the strengths in microelectronic fabrications, photonic, imaging and micro-power research programs at the institute. Students are involved in cutting edge research and have access to modern facility, the largest of its kind in any academic institution. The program has graduated six students in the last four years.Five of these students are hired by semiconductor industry – Kawasaki Microelectronics (1), Intel (2), and IBM(1).

TABLE IV

Curriculum DEVELOPMENTS LED by theMicroelectronic Engineering Department at RIT

Year of Introduction / Programs / Requirements
(quarter credits)
1082 / BS (Microelectronic Eng.) / 196 credits
+ 15 months of Co-op
1987 / ME (Microelectronics Manufact. Eng. (also offered Online) / 45 credits include
5 credits for Internship
1995 / MS (Microelectronic Eng.) / 45 credits include
9 credits of thesis
2003 / BS–MS (Microelectronic and
Materials Science and Eng.) / 225 credits with
9 credits of thesis
2005
2002 / Minor Semiconductor Processing
PhD Microsystems Engineering
(Institute wide) / 20 credits of courses
92 credits of graduate
course work, 24 credits in Dissertation research.

III.Placement

Table V lists top employers of co-op and full time graduates of Microelectronic Engineering (MicroE) in descending order of numbers employed. The figures for full time graduates are subject to change as graduates may make transitions between their employments that are not tracked by RIT. Nevertheless, the figures reflect the nature of employment of our graduates.

TABLE V

Top Employers of MicroE Co-Op and Graduates

Co-Op / Graduates
Infineon (Qimonda)
Micron
Photronics
National Semiconductor
Fairchild Semiconductor
Eastman Kodak Company
Motorola/Freescale
Intel
IBM
AMD
Integrated Nanotechnologies
Univ of Rochester / Motorola (Freescale)
Intel
IBM
National Semiconductors
AMD
Micron
Infineon (Qimonda)
Texas Instruments
Cypress Semiconductor
Analog Devices
Eastman Kodak
Xerox
HP
Graduate Schools

IV.Semiconductor and Microsystems Fabrication Laboratory (SMFL)

A.Facilities

In the year 2001, the laboratoriesdeveloped by the department of microelectronic engineering became central institutional facility, named as SMFL for research in addition to serving for the microelectronic engineering curriculum. The RIT SMFL offers a complete capability in microelectronics and MEMS fabrication. The SMFL has an extensive toolset for IC fabrication, including e-beam maskmaking, i-line and deep-UV microlithography, ion implant, plasma etching systems, diffusion furnaces, LPCVD and PECVD systems, sputtering and evaporation systems, and chemical-mechanical planarization. The SMFL has several classes of users, including undergraduate and graduate level laboratory sections, graduate thesis student researchers, faculty researchers, and corporate users. The SMFL facilities are opened to all RIT researchers who have undergone appropriate training, as well as users from other universities who wish to travel to our facility. Masks are created on-site with the MEBES-III electron beam exposure system from standard GDS format files. A complete tool set of the SMFL is listed on web site-

Laboratory support is provided by eight full-time staff members, including four equipment technicians, a facilities manager, one process engineer, an operations manager, and a technical director. A number of student hourly workers provide janitorial support and perform various equipment / process support functions.

B.Processes

RIT is supporting four different CMOS process technologies (Table VI). The older p-well CMOS has been phased out. The SMFL-CMOS process is used for standard 5 Volt Digital and Analog integrated circuits. This is the technology of choice for teaching circuit design and fabricating CMOS circuits at RIT. The Sub-CMOS and Advanced-CMOS processes are intended to introduce our students with process technology that is close to industry state-of-the-art. These processes are used to build test structures and develop new technologies at RIT.

TABLE VI

IC Processes at RIT

Process / Design Rule m) / Lmin(m)
Metal gate PMOS
RIT p-well CMOS
RIT SMFL-CMOS
RIT Subµ-CMOS
RIT Advanced-CMOS / 10
4
1
0.5
0.25 / 20
8
2
1
0.5

In addition to standard CMOS processes, triple implanted bipolar process and application specific MOS processes can be made available. The faculty has developed unique processes such as low temperature CMOS process for silicon on glass and on-chip inductors integrated with MOS circuitry by developing electroplating of ferrite and copper with metal gate MOS process. MEMS processes involve surface and bulk micromachining.